• uboot向内核模块传递参数的方法


    1 模块参数

    定义模块参数

    1、module_param(name, type, perm); 定义一个模块参数,

    name 变量名

    type 数据类型

    bool:布尔型

    invbool:一个布尔型( true 或者 false)值(相关的变量应当是 int 类型).invbool 类型颠倒了值,所以真值变成 false,反之亦然.

    charp :一个字符指针值. 内存为用户提供的字串分配, 指针因此设置.

    int:整形

    long:长整形

    short:短整形

    uint:无符号整形

    ulong:无符号长整形

    ushort:无符号短整形

    perm 访问权限

    #define S_IRWXU 00700
    #define S_IRUSR 00400
    #define S_IWUSR 00200
    #define S_IXUSR 00100
    #define S_IRWXG 00070
    #define S_IRGRP 00040
    #define S_IWGRP 00020
    #define S_IXGRP 00010
    #define S_IRWXO 00007
    #define S_IROTH 00004
    #define S_IWOTH 00002
    #define S_IXOTH 00001        
    #define S_IRUGO                (S_IRUSR|S_IRGRP|S_IROTH)        //可以被所有用户访问不能改写

    2、module_param_array(name, type, nump, perm);  定义模块参数数组
    nump 数组元素的个数

    示例:

    static int test=0;
    module_param(test, int, S_IRUGO);

    在uboot 的启动参数中传递参数 例如:

    setenv bootargs console=ttyS0,115200n8  root=${mmcroot} rootfstype=ext4  rootflags=data=writeback quiet testmodule.test=1

    testmodule模块名称(也就是.o文件或者.ko文件的名称) test 模块参数名称 如果设置了上面的启动参数,在驱动中就可以看到test的值为1

    2 直接用启动参数传递

    驱动中定义

    static int test=0; 
    static int __init Get_test(char *str)
    {
            test = simple_strtoul(str, NULL, 0);
            return 1;
    }
    __setup("mode_test=", Get_test);
    
    
    static char *test_name;
    static int __init Get_testname(char *str)
    {
            test_name = str;
            return 1;
    }
    __setup("mode_testname=", Get_testname);

    在启动参数中

    setenv bootargs console=ttyS0,115200n8 root=${mmcroot} rootfstype=ext4  rootflags=data=writeback quiet  test=1 test_name=name

    按上面的设置,在驱动可以得到test的值为1,test_name为“name”。

  • 相关阅读:
    OpenCV 写入视频流
    Flyweight 模式
    Builder 模式
    MySQL插入数据
    MySQL新建表
    2's Power
    DeepID人脸识别算法之三代(转)
    FaceNet--Google的人脸识别(转)
    DeepFace--Facebook的人脸识别(转)
    leetcode6 Reverse Words in a String 单词取反
  • 原文地址:https://www.cnblogs.com/wanglouxiaozi/p/10345061.html
Copyright © 2020-2023  润新知